CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - led vhdl

搜索资源列表

  1. LED.VHDL

    0下载:
  2. LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序-LED control procedures and VHDL simulation briefed on the use of FPGA LED static and dynamic significantly the figures show clock control procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5583
    • 提供者:少龙
  1. EP2C-SOURCE_CODE

    1下载:
  2. 有關於EP2C的一些程序(EX:I2C,FLASH,IRDA,MUSIC,LED,LIGHT,SRAM,UART,PS2,SPI )-EP2C on some of the procedures (EX: I2C, FLASH, IRDA, MUSIC, LED, LIGHT, SRAM, UART, PS2, SPI)
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-01
    • 文件大小:2994600
    • 提供者:鄧志明
  1. 8-led-VHDL

    0下载:
  2. 8位流水灯程序设计,跑马灯效果显示,里面是VHDL程序。-8 water lamps program design, which is a VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:109883
    • 提供者:luo
  1. lift

    0下载:
  2. 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 -Design a controller, eight-story buildings, escalators, moving elevator with eight LED display process, and a digital display where the floor lift the current loc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:77165
    • 提供者:zhaorongjian
  1. LED

    0下载:
  2. 用VHDL语言实现的八个开关控制八个LED灯的亮灭,本程序经过实验箱认证,绝对可用。-VHDL language used to achieve the eight switch control eight bright LED lights out, the procedure experimental boxes after certification, available absolutely.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:99706
    • 提供者:王媛媛
  1. led

    0下载:
  2. 在EPM570开发板上实现LED控制的程序-EPM570 achieve in the development of on-board LED control procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:875
    • 提供者:秦建
  1. led

    0下载:
  2. LED显示功能,使用VHDL语言编程,基于FPGA-LED display, the use of VHDL language programming, based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:971
    • 提供者:juanjuan
  1. VHDL

    0下载:
  2. 采用VHDL语言设计一个4通道的数据采集控制模块。系统的功能描述如下: 1.系统主时钟为100 MHz。 2.数据为16位-数据线上连续2次00FF后数据传输开始。 3.系统内部总线宽度为8位。 4.共有4个通道(ch1、ch2、ch3、ch4),每个通道配备100 Bytes的RAM,当存满数据后停止数据采集并且相应通道的状态位产生报警信号。 5.数据分为8位串行输出,输出时钟由外部数据读取电路给出。 6.具备显示模块驱动功能。由SEL信号设置显示的通道,DISPLAY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5783271
    • 提供者:pengfu
  1. led

    0下载:
  2. 用一个按钮开关循环控制四个led灯的闪烁方式,输入时钟10MHz,闪烁频率1Hz-Button switch with a four cycle control lights flashing led the way, the input clock 10MHz, blinking frequency of 1Hz
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:553
    • 提供者:liyinghe
  1. LED

    0下载:
  2. led display programme
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2181
    • 提供者:fancywoods
  1. vhdl

    0下载:
  2. 6位LED电子钟,非常实用实做过实验,自动报时,秒表-6 LED electronic clock, very useful experiment is done, automatic timer, stopwatch. . .
  3. 所属分类:Document

    • 发布日期:2017-04-14
    • 文件大小:4230
    • 提供者:王睿
  1. t1

    0下载:
  2. 带清零和重置功能的十进制计数器,可以用LED灯显示结果-Cleared and reset with the decimal counter, can use LED lights display the results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1011431
    • 提供者:孟明川
  1. led

    0下载:
  2. 八段数码管的显示的小程序,环境是VHDL-0h
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:105520
    • 提供者:mars343
  1. LED

    0下载:
  2. LED控制VHDL程序与仿真可以很好的实现功能-VHDL program LED control and simulation, you can achieve very good feature!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5311
    • 提供者:唐光敏
  1. VerilogHDL_code

    0下载:
  2. 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1603267
    • 提供者:shsh
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. 7-LED

    0下载:
  2. 如题目所示,将二进制码转换为7位LED显示-Such as the title indicates, will be converted into binary code 7 LED display
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-09
    • 文件大小:688
    • 提供者:wxw_s_life
  1. led

    0下载:
  2. 七段LED数码显示器是数字系统中常用的数码显示元件,二进制数不能直接在LED数码管上显示,需要用一个BCD七段译码器进行译码。下图给出了一个七段显示译码器的框图及相应的七段LED数码管的示意图。-Seven-segment LED digital display is commonly used in digital systems digital display devices, a binary number can not be directly displayed on the LED
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:29440
    • 提供者:乐天猫
  1. LED

    0下载:
  2. 按下列顺序无限循环移动彩灯显示电路,LED灯总数为8个,具体循环次序如下:1.D7,D3,其余灭,右移三次后全灭 2。D4,D0亮,其余灭,左移三次后全灭 3。D3,D4亮,其余灭,各向两边移三次后全灭 4,D7,D0亮,其余灭,各向中间移三次后全灭 -----上述灯移动的间隔为0.5s-In the following order infinite loop mobile lantern display circuit, LED lights total of 8, th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:445438
    • 提供者:xizi
  1. led

    0下载:
  2. 定时器中断的例程,实现一秒定时,并在led灯上显示- Writes routine which a timer interrupts, realizes one second fixed time, and demonstrated on the led lamp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3259
    • 提供者:蔡林
« 12 3 4 5 6 7 8 9 10 ... 23 »
搜珍网 www.dssz.com